"Lass mich schlafen, is doch erst 13 Uhr!" - Der Studententalk

chin82 schrieb:
morgen gibts die ergebnisse von grundlagen der Informatik 2.
nachdem ich schon 4 ma von der rückgabe geträumt habe, wirds aber auch ma zeit

und ich hab bestandeeeeeeeeeeeeeeeeeeeeeeen!
Ergebnis der GdI2-Klausur: Punkte: 45.5 Note: 3.0
 
schapp schrieb:
Bachelor oléééééééé :) :cool:

Ich könnte mich jeden Tag von morgens bis abends darüber aufregen...
Zeitung gelesen in den letzten 2 Wochen? Münster/Germanistisches Institut/Chaos ???
Aber zur Rettung meines Institutes will ich dazu sagen, dass der Großteil der Fehler von der Hochschulleitung verschuldet worden ist...
 
eddie.gordou schrieb:
Ich könnte mich jeden Tag von morgens bis abends darüber aufregen...
Zeitung gelesen in den letzten 2 Wochen? Münster/Germanistisches Institut/Chaos ???
Aber zur Rettung meines Institutes will ich dazu sagen, dass der Großteil der Fehler von der Hochschulleitung verschuldet worden ist...


es war auch leider ironie:( :oops:
 
Na, war gestern einer von euch bei der Debut in Köln?
Würde mich mal interessieren :D

Größte Studentenparty Deutschlands...
 
Ne, dieses Jahr hab ichs mir verkniffen dahin zu gehen. Die letzte fand ich schon auch nicht mehr so geil. Lag aber denke ich mal eher an mir. Sonst sind die Partys eigentlich ja immer ziemlich gut, wobei der Rock-Flor ja immer nur ein bisschen sehr spärrlich besucht ist... :(
 
Canaris schrieb:
Na, war gestern einer von euch bei der Debut in Köln?
Würde mich mal interessieren :D

Größte Studentenparty Deutschlands...

ich war da, habe viel getrunken und viel geld ausgegeben. ist ja echt mega groß die party. war aber ganz cool.
 
Ja fand es halt ziemlich geil, auch wenn ich die meiste Zeit ganz oben bei House und RnB abgegangen bin...aber bei 5 Floors hat man halt die Qual der Wahl...
 
warum hab ich ein studium bei den ich lernen muss:( :oops: ?
Ich hätte Theaterwissenschaften studieren sollen, ist so ein studium für leute die die matura haben aber nie arbeiten wollen:D
 
Canaris schrieb:
Ja fand es halt ziemlich geil, auch wenn ich die meiste Zeit ganz oben bei House und RnB abgegangen bin...aber bei 5 Floors hat man halt die Qual der Wahl...

die samba band war ganz cool.
zudem hat die direkt vor dem bacardi stand gespielt...da war ich eh öfter.
 
--Cha\/ezz-- schrieb:
so ich hab mein hass seminar entdeckt titel: "sozialismus im 21 jahrhundert" oder so ähnlich. und der dozent hat erstmal die junge welt an alle verteilt :D
na gehts noch geiler`?

bei mir in der vorlesung muss ich mir anhören dass carl schmitt kein nazi war :mad:

ver****te cdu-uni...
 
library ieee;
use ieee.std_logic_1164.all;
entity ha2tobin is
port(h: in std_logic_vector(2 downto 0);
h52: out std_logic_vector(6 to 7));
end ha2tobin;
architecture table of ha2tobin is
begin
h52 <= "0000000" when h = "000" else
"0011001" when h = "001" else
"0110010" when h = "010" else
"1001011" when h = "011" else
"1100100" when h = "100" else
"1111111";
end table;

:D
langsam macht digitaltechnik spass
 
Wenn hier jeder was aus seinem Studium posten würde...dem Leser würde der Kopf platzen...
 
chin82 schrieb:
nach klausur einsicht doch 47.5 Punkte und ne 2.7 :)

ich gehe nächste woche auch zur klausureinsicht und hoffe doch noch 2 punkte rausschlagen zu können um die klausur doch noch mit einer 4.0 zu bestehen :D
 
Zurück
Oben Unten